In Verilog to output to stdout without a line return use $write();

Equivalent statements :

$write("\n");
$display("");