To set a default define option while allowing it to be overridden from the command line.
`ifndef mode_sel
`define mode_sel …
To set a default define option while allowing it to be overridden from the command line.
`ifndef mode_sel
`define mode_sel …
The best practice is to use a tcl file:
shm.tcl
database -open waves -shm
probe -create your_top_level -depth all …
Time can be displayed during simulation using %t
.
$display("%t", $realtime);
Timeformat is used to control the way (%t
) this …
A minimal example of constrained random to constraining a 4 bit value to 0 to 11 when randomised.
module tb …
Efficiently create a thermometer code in verilog:
localparam M = 32;
function [M-1:0] therm_code;
input [$clog2(M):0] val;
begin …
To wait for a maximum of 10ns
for positive edge on clk then carry on with simulation.
fork : wait_or_timeout
begin …
Based on a Stackoverflow answer, to import environment variables into Verilog you can use:
import "DPI-C" function string getenv(input …
In Verilog to output to stdout without a line return use $write();
Equivalent statements :
$write("\n");
$display("");
gf
In your vimrc
" gf goto_file, automatically add search for these file extensions
:set suffixesadd+=.v
:set suffixesadd+=.sv …
As previously mentioned SystemVerilog introduced abolute delays in the form of #1s;
, #1ms
, #1us
, #1ns
.
Using these as values passed …